fix benches compilation (#1931)

This commit is contained in:
Nikolay Volf 2016-08-16 00:02:53 +04:00 committed by Arkadiy Paronyan
parent 751c76917d
commit 9e88acb8c8
1 changed files with 2 additions and 2 deletions

View File

@ -24,11 +24,11 @@
#![feature(asm)]
extern crate test;
extern crate ethcore_util;
extern crate bigint;
extern crate rand;
use test::{Bencher, black_box};
use ethcore_util::U256;
use bigint::uint::{U256, U512, Uint, U128};
#[bench]
fn u256_add(b: &mut Bencher) {